Welcome to MkDocs
For full documentation visit mkdocs.org.
This is my subheader
And this is some normal text
int main(int argc, char **argv) {
printf("And this is some code.\n");
return 1000;
}
Anyway, some bullet points:
- Bullet point 1.
- Bullet point 2.
- Bullet point 3.
Here is a short transcript of a vhdl program:
entity ps2_byte_parser is
port (
clk: in std_logic;
byte: in std_logic_vector(7 downto 0);
rx_strobe: in std_logic;
keycode: out ps2_keycode_T := PS2_KEY_UNKNOWN;
kc_strobe: out std_logic := '0';
make: out std_logic := '0';
err: out std_logic := '0'
);
end;
Commands
mkdocs new [dir-name]- Create a new project.mkdocs serve- Start the live-reloading docs server.mkdocs build- Build the documentation site.mkdocs -h- Print help message and exit.
Project layout
mkdocs.yml # The configuration file.
docs/
index.md # The documentation homepage.
... # Other markdown pages, images and other files.